Welcome![Sign In][Sign Up]
Location:
Search - msk vhdl

Search list

[Communicationmsk调制解调程序

Description: 实现数字载波的MSK调制和解调的程序,记事本格式 可在VHDL中运行仿真
Platform: | Size: 4851 | Author: liuliql_100 | Hits:

[Other Embeded programad9852

Description: 控制ad9852,完成任意波形发生, 可根据情况进行删减-control AD9852 completed arbitrary waveform generation, under the circumstances, for the deletion
Platform: | Size: 1024 | Author: lxp | Hits:

[assembly languageGMSK_matlab

Description: gmsk的matlab实现,可直接运行,自己编写的,请高手指点!-GMSK matlab to achieve the direct running, I have written, please expert advice!
Platform: | Size: 3072 | Author: 程林强 | Hits:

[VHDL-FPGA-Verilogmsk_top

Description: msk的verilog程序 利用FPGA实现-MSK procedures for the use of Verilog FPGA realize
Platform: | Size: 1024 | Author: ouyang | Hits:

[VHDL-FPGA-Verilogchengxu

Description: 关于频率计程序的设计,LCD控制程序,PSK调制解调的控制程序,MSK调制解调控制程序,电梯控制程序,TLC5510控制程序,基带码发生器程序,电子琴程序,自动售货机程序,电子时钟程序,步进电机控制定位系统,波形发生器,出租车计价器,ADCO809-Procedures regarding the design of frequency meter, LCD control procedures, PSK modulation and demodulation of the control procedures, MSK modulation and demodulation control procedures, procedures for elevator control, TLC5510 control procedures, base-band code generator procedures, organ procedures vending machine procedures, electronic clock procedures, stepper motor control of positioning systems, waveform generator, Taximeter, ADCO809
Platform: | Size: 1277952 | Author: chenjy | Hits:

[matlab73462697msk_matlab

Description: 于毕业设计与论文以及做课题用-MSK Simulink simulation program for the design and graduation thesis topic, and making use -Design and graduation thesis, as well as issues to do with-MSK Simulink simulation program -于毕业设计与论文以及做课题用-MSK Simulink simulation program for the design and graduation thesis topic, and making use-Design and graduation thesis, as well as issues to do with-MSK Simulink simulation program
Platform: | Size: 2048 | Author: yujiao | Hits:

[matlab89346497fpga-example2

Description: 于毕业设计与论文以及做课题用-MSK Simulink simulation program for the design and graduation thesis topic, and making use -Design and graduation thesis, as well as issues to do with-MSK Simulink simulation program -于毕业设计与论文以及做课题用-MSK Simulink simulation program for the design and graduation thesis topic, and making use-Design and graduation thesis, as well as issues to do with-MSK Simulink simulation program
Platform: | Size: 618496 | Author: yujiao | Hits:

[assembly languageMSK

Description: 用VERILOG编写的MSK调制模块的程序代码 简单易懂-MSK modulation with a VERILOG module written in easy to understand code
Platform: | Size: 2013184 | Author: 龙兰飞 | Hits:

[VHDL-FPGA-Verilogmsk

Description: msk调制verilog HDL 实现,对学习微电子的人很有帮助-msk modulation verilog HDL to achieve, people very helpful in learning Microelectronics
Platform: | Size: 1024 | Author: 李博 | Hits:

[VHDL-FPGA-VerilogVHDLprogram

Description: 有ASK,MSK,PSK,MASK,MFSK的VHDL程序实现及仿真结果分析。-There ASK, MSK, PSK, MASK, MFSK the VHDL program implementation and simulation results.
Platform: | Size: 270336 | Author: 方晓翠 | Hits:

[VHDL-FPGA-Verilogcom1027soft

Description: FSK/MSK/GFSK/GMSK DIGITAL DEMODULATOR VHDL SOURCE CODE OVERVIEW
Platform: | Size: 166912 | Author: nashwa | Hits:

[VHDL-FPGA-Verilogmsk_mod

Description: msk 调制解调源码,每符号采样8次。对pn码进行调制后,进行解调,解调过程含:符号差分,中值滤波等过程。-msk modem source code, sample 8 times per symbol. Modulation of the pn code after the demodulation, the demodulation process including: symbol differential, the value of the filtering process.
Platform: | Size: 1024 | Author: 刘进 | Hits:

CodeBus www.codebus.net